共107,785条结果
正弦波,方波,三角波,且占空比可调,频率可调,幅度可调
答:2、学习波形产生、变换电路的应用及设计方法以及主要技术指标的测试方法。三、设计要求及主要技术指标设计要求:设计并仿真能产生方波三角波及正弦波等多种波形信号输出的波形发生器。1、方案论证,确定总体电路原理方框图。2、单元电路设计,元器件选择。3、仿真调试及测量结果。主要技术指标1、正弦波信号源...
2020-03-19 回答者: 百度文库精选
...简易波形发生器,要求能产生正弦波,方波,三角波,通过键盘可改变输出...
问:求设计框图,C语言或汇编程序
答:include<reg51.h> unsigned char i,sqar_num=128; //最大值100,默认值50 unsigned char cho=0; //0:正弦波。1:方波。2:三角波。3:锯齿波。unsigned char num=0;unsigned char TIME0_H=0xff,TIME0_L=0xdc; //定时器0的初值设置;全局变量.对应正弦波,锯齿波50HZ sbit chg...
2012-05-12 回答者: q号1826797881 2个回答 1
模拟电路:设计一个信号发生器。要求:有三种波形,输出幅度和频率可调。要...
问:要求要有仿真电路图,发到邮箱820753185@qq.com
答:简单的可以使用555模块 这个芯片是外接模拟器件来控制输出方波频率的 输出端加滤波器或者积分器就可以实现三种波形 555的讲解非常多 希望对你有帮助
2011-01-05 回答者: swanfox 3个回答 6
急求设计一个方波三角波正弦—函数发生器设计
问:设计要求:1,频率范围:1-10HZ 10-100HZ 2, 输出电压:方波Vp-p<24V;三...
答:实验五 方波、三角波发生器的设计 一.实验目的 1. 学习方波、三角波发生器的设计方法。2. 进一步培养电路的安装与调试能力。二.预习要求 1. 复习教材中波形发生电路的原理。2. 根据所给的性能指标,设计一个方波、三角波发生器,计算电路中的元件参数,画出标有元件值的电路图,制定出实验方案...
2016-12-01 回答者: SPYKUN 3个回答 19
...能产生方波正弦波三角波等信号波形,信号的频率、幅度_百度知 ...
问:1753661640@qq.com`~~~利用89C51单片机设计多功能低频函数信号发生器,...
答:单片机控制给DA的数据的时间间隔就可以控制输出的频率了,为了得到比较精确的频率,可以用定时器控制数据的输出频率啦。幅度的调节可以用双DA来做啊,也就是用另外一块DA来控制数据转换DA的基准电压。第二种方案:用单片机控制DDS芯片啊,比如用单片机控制AD9834,可以产生正弦波、方波、三角波,频率的调节...
2011-07-05 回答者: ZHANG19876900 4个回答 18
设计和制作一函数信号发生器
问:一、设计目的1.掌握方波—三角波—正弦波函数发生器的设计方法与测试技术...
答:基于ICL8038函数信号发生器的设计本设计是以ICL8038 和AT89C2051 为核心设计的数控及扫频函数信号发生器。ICL8038 作为函数信号源结合外围电路产生占空比和幅度可调的正弦波、方波、三角波; 该函数信号发生器的频率可调范围为1~100kHz, 步进为0.1kHz, 波形稳定, 无明显失真。1.系统设计框图如图1 为系统设计框图。本设计...
2016-08-11 回答者: 知道网友 1个回答 1
555多波形信号发生器研制的意义?
答:555多波形信号发生器是指基于555定时器芯片设计和制作的电子设备,可以生成多种不同的波形信号,如正弦波、方波、三角波等。它具有以下几个方面的研制意义:教育与学习:555多波形信号发生器在电子技术教育和学习中具有重要的作用。通过研制和制作这样的设备,学生可以深入理解555定时器的原理和应用,并学习...
2023-06-18 回答者: y2jlee 1个回答
函数波形发生器设计步骤
答:峰峰值=2峰值,有效值=峰值/根号2=0.707峰值,峰值=根号2倍有效值=1.414有效值。函数波形发生器设计,函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可...
2024-05-30 回答者: 惠企百科 1个回答
设计并制作一个信号发生器能产生正弦波方波三角波三种周期性波...
问:(1)信号发生器能产生正弦波、方波和三角波三种周期性波形; (2)输出...
答:如果允许采用波形发生器集成电路,就可减化设计和制作,可采用的IC有:ICL8038;XR-2206;MAX038。
2007-11-20 回答者: bjlaohui 2个回答 18
帮忙用VHDL语言编写信号发生器(三角波,方波,锯齿波),要求用计数器,方波...
问:最好写出程序。
答:用vhdl编写信号发生器输出三角波方波,锯齿波 由于用VHDL编写输出的是数字信号,所以要在后面加入D/A转换 实现方式 PLD(或FPGA)+D/A转换 用PLD(或FPGA)产生3种循环变化的数据量(这里用4位 实现幅值10=‘1010)1 用0-10的循环加法计数 实现锯齿波 2 用0-10-0循环加减计数器 实现三角波 ...
2011-12-24 回答者: bokun66 1个回答 1

辅 助

模 式