verilog FPGA 串口通信问题 15

实现功能是按下按键key通过串口相PC发送数组3099FE我想请问下我要怎么做才能把3099fe送到串口发送模块那个接口然后通过串口发出去。以下的那部分模块是自己写的但是... 实现功能是按下按键key 通过串口相PC发送数组 30 99 FE
我想请问下我要怎么做才能把 30 99 fe 送到串口发送模块那个接口然后通过串口发出去。
以下的那部分模块是自己写的但是只能发送FE出去。
求教该怎么写。各位大神感激不尽!!!
module UART_TX_01(clk,rst,key,uart_tx);
input clk;
input rst;
input key;
output uart_tx; //串口输出接口
reg [7:0] tx_buf;
wire [7:0]data_tx;
wire key_flag;

key anjian //实例化按键输入检测模块
(.clk(clk),
.rst(rst),
.key(key),
.key_flag(key_flag) //输出按键标志位
);

UartSend TX( //串口发送模块 验证过是OK的
.sys_clk(clk),
.sys_rst_n(rst),
.datain_tx(data_tx), //待发送的数据接口 即30 99 fe
. key_flag(key_flag), //按键标志位
.uart_txd(uart_tx) //串口发送的输出接口
);

always @(posedge clk or negedge rst) //这部分是我写的发送数组是数据传递的过程
begin //主要是这一块不知道怎么写
if(rst==0)begin
tx_buf<=8'h0;
end
else if(key_flag)begin
tx_buf<=8'h30;
#10000 tx_buf<=8'h01;
#10000 tx_buf<=8'hfe;
end
end

assign data_tx=tx_buf;

endmodule
如何用Verilog 串口发送数组?
展开
 我来答
sam_wintchster
2014-12-17
知道答主
回答量:3
采纳率:0%
帮助的人:3675
展开全部
reg [7:0] tx_buf [2:0],声明一个二维数组,刚开始初始化一下数值,再直接使用按键信号的边沿输出
追问
我刚刚开始也用了 ,但是不知道怎么写,求教,最好能帮忙写下,应该不会打太多字,麻烦你了。。。!!!!
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
查晓筠0i5
2014-12-17 · TA获得超过2752个赞
知道大有可为答主
回答量:2.1万
采纳率:1%
帮助的人:4910万
展开全部
关注这个问题
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式