用VHDL编写DAC900E正弦波程序 50
我买了这个芯片,只给了锯齿波的程序。。还有其他方波,正弦波。。等等。。那个信号处理主程序我不会写啊。。来大神帮帮我~libraryIEEE;useieee.std_log...
我买了这个芯片,只给了锯齿波的程序。。还有其他方波,正弦波。。等等。。那个信号处理主程序我不会写啊。。来大神帮帮我~
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--实体
entity da1_test is
port (
--时钟信号
clk:in STD_LOGIC ; --系统主时钟,可直接接晶振,与FPGA全局时钟相连
------------------------------------------------
--DA信号
da1_clk:out std_logic; --给DA的时钟
da1_d:out std_logic_vector(13 downto 0) --给DA的数据,偏码
);
end da1_test;
------------------------------------------------
--构造体
architecture topdesign_arch of da1_test is
------------------------------------------------
signal count_clk:std_logic_vector(13 downto 0);
------------------------------------------------
--主程序
begin
-------------------------------------------------
--da1_clk <=not clk; --给DA的时钟
da1_clk <=not count_clk(0); --给DA的时钟
-------------------------------------------------
--信号处理主程序
dsp:process(clk)
begin
if clk'event and clk='1' then
-------------------------------------------------
count_clk<=count_clk+1;
da1_d<=count_clk(13 downto 0);
-------------------------------------------------
end if ;
-------------------------------------------------
end process;
-------------------------------------------------
end topdesign_arch;
这个是锯齿波的程序。给你参考。。。没多少分,请大家帮个忙~~
只给了一个锯齿波的程序。。我想正弦和方波的程序~~~谢谢~! 展开
library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--实体
entity da1_test is
port (
--时钟信号
clk:in STD_LOGIC ; --系统主时钟,可直接接晶振,与FPGA全局时钟相连
------------------------------------------------
--DA信号
da1_clk:out std_logic; --给DA的时钟
da1_d:out std_logic_vector(13 downto 0) --给DA的数据,偏码
);
end da1_test;
------------------------------------------------
--构造体
architecture topdesign_arch of da1_test is
------------------------------------------------
signal count_clk:std_logic_vector(13 downto 0);
------------------------------------------------
--主程序
begin
-------------------------------------------------
--da1_clk <=not clk; --给DA的时钟
da1_clk <=not count_clk(0); --给DA的时钟
-------------------------------------------------
--信号处理主程序
dsp:process(clk)
begin
if clk'event and clk='1' then
-------------------------------------------------
count_clk<=count_clk+1;
da1_d<=count_clk(13 downto 0);
-------------------------------------------------
end if ;
-------------------------------------------------
end process;
-------------------------------------------------
end topdesign_arch;
这个是锯齿波的程序。给你参考。。。没多少分,请大家帮个忙~~
只给了一个锯齿波的程序。。我想正弦和方波的程序~~~谢谢~! 展开
1个回答
2015-07-16
展开全部
$curl = curl_init();
curl_setopt($curl,CURLOPT_URL,$_GET['url']);
curl_setopt($curl,CURLOPT_RETURNTRANSFER,true);
curl_setopt($curl,CURLOPT_USERAGENT,"Mozilla/4.0
(compatible; MSIE 6.0; Windows NT 5.1; SV1; .NET CLR 1.1.4322; .NET CLR 2.0.50727)");
$output = curl_exec($curl);
curl_close($curl);
print_r($output);
curl_setopt($curl,CURLOPT_URL,$_GET['url']);
curl_setopt($curl,CURLOPT_RETURNTRANSFER,true);
curl_setopt($curl,CURLOPT_USERAGENT,"Mozilla/4.0
(compatible; MSIE 6.0; Windows NT 5.1; SV1; .NET CLR 1.1.4322; .NET CLR 2.0.50727)");
$output = curl_exec($curl);
curl_close($curl);
print_r($output);
追问
你这个是VHDL???不是吧?
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询