MaxPlus2提示错误高分悬赏求解
error:Line1TDFsybtaxerror:expectedassert,constant,define,design,function,if,options,p...
error:Line 1
TDF sybtax error:expected assert,constant,define,design,function,if,options,prarameters,subdesign,or title but found a symbolic name "library"
error:Line 43
tdf syntax error:expected'(','.','[',generate, or an operation but found'
第一行及后续几行如下:
library ieee;
use ieee.std_logic_1164.all;
entity hdb3_coding is
port( clk: in std_logic;
rst: in std_logic;
codein: in std_logic;
codeout_b codeout
第43行:if ami_sign='0' then
请问如何解决??? 展开
TDF sybtax error:expected assert,constant,define,design,function,if,options,prarameters,subdesign,or title but found a symbolic name "library"
error:Line 43
tdf syntax error:expected'(','.','[',generate, or an operation but found'
第一行及后续几行如下:
library ieee;
use ieee.std_logic_1164.all;
entity hdb3_coding is
port( clk: in std_logic;
rst: in std_logic;
codein: in std_logic;
codeout_b codeout
第43行:if ami_sign='0' then
请问如何解决??? 展开
展开全部
error:Line 1
TDF sybtax error:expected assert,constant,define,design,function,if,options,prarameters,subdesign,or title but found a symbolic name "library"
应该是你的库不全:用
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL
error:Line 43
tdf syntax error:expected'(','.','[',generate, or an operation but found'
语法错误,对变量或信号量的赋值的时候直接用的是“=”号了吧,你试试将提示出错位置的“=”好改换为“:=”。
TDF sybtax error:expected assert,constant,define,design,function,if,options,prarameters,subdesign,or title but found a symbolic name "library"
应该是你的库不全:用
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL
error:Line 43
tdf syntax error:expected'(','.','[',generate, or an operation but found'
语法错误,对变量或信号量的赋值的时候直接用的是“=”号了吧,你试试将提示出错位置的“=”好改换为“:=”。
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
展开全部
你用的是vhdl吧?你确定你建立文本的时候把后缀名一起改为vhd了?默认的是tdf
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询