CPLD EPM7128 D触发器输出异常

libraryieee;useieee.std_logic_1164.all;entityaaisport(a:instd_logic;b:outstd_logic;c:... library ieee;
use ieee.std_logic_1164.all;

entity aa is
port(a :in std_logic;
b:out std_logic;
c:out std_logic);
begin
end aa;

architecture xx of aa is
signal d : std_logic;
begin
b<=d;
process(a)

begin
c<=not a;

if a ' event and a = '1' then
d<=not d;
end if;
end process;

end xx;

上面一个简单的程序,我仿真时,c和b和输出都OK
但实际烧到板子上,c的反应是正常的,b的反应时有时无

if a ' event and a = '1' then
d<=not d;
end if;
这部分里的程序,不是每个时钟都执行,不知道是什么原因

我用的芯片是EPM7128STC100-10
在总体编译时有下面一个警告
Warning: Timing Analysis does not support the analysis of latches as synchronous elements for the currently selected device family
谢谢回复!
这个我知道是哪里问题了!是CLK输入信号高电平不稳定导致“ if a ' event and a = '1' then”这个判断出问题了!
展开
 我来答
nal3331
2010-06-28
知道答主
回答量:2
采纳率:0%
帮助的人:0
展开全部
原因:用analyze_latches_as_synchronous_elements setting可以让 Quaruts II来分析同步锁存,但目前的器件不支持这个特性
措施:无须理会.时序分析可能将锁存器分析成回路.但并不一定分析正确.其 后果可能会导致显示提醒用户:改变设计来消除锁 存器
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式