用VHDL语言描述一个分频器,将10MHZ分频成1KHZ,拜托高手帮忙!
1个回答
展开全部
这是一个将1MHZ的分频1HZ的,你看下程序,改下下面的参数就是你要的了
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin is
port(clk:in std_logic;
clock:out std_logic)j;
end;
architecture art of fenpin is
signal count:integer range 0 to 500000;
signal clk_data:std_logic;
begin
process(clk)
begin
if clk'event and clk='1' then
if count=500000 then -------频率多大,你可以改这个 计算公式为
count<=0; f1=2*count*f2,f1为分频前的频率
clk_data<=not clk_data; f2为分频后的频率
else count<=count_1;
end if;
end if;
clock<=clk_data;
end process;
end art;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fenpin is
port(clk:in std_logic;
clock:out std_logic)j;
end;
architecture art of fenpin is
signal count:integer range 0 to 500000;
signal clk_data:std_logic;
begin
process(clk)
begin
if clk'event and clk='1' then
if count=500000 then -------频率多大,你可以改这个 计算公式为
count<=0; f1=2*count*f2,f1为分频前的频率
clk_data<=not clk_data; f2为分频后的频率
else count<=count_1;
end if;
end if;
clock<=clk_data;
end process;
end art;
刘
2024-11-14 广告
2024-11-14 广告
深圳市响河测控技术有限公司,坐落于电力电子产业密集的珠三角地区--广东深圳,是国内外前列的电力电子测试设备研发制造商,致力于为电力电子、科研提供高可靠性、高精度的电子测试设备。响河测控技术起源于航天航空产业,具备雄厚的技术研发力量及对高精度...
点击进入详情页
本回答由刘提供
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询