QuartusII 13.1编译verilog后生成的RTL视图,看不懂
在quartusII13.1里面用verilog写了一个简单的计数器,代码如下:always@(posedgeclkornegedgerst_n)if(!rst_n)cn...
在quartusII 13.1里面用verilog写了一个简单的计数器,代码如下:
always @ (posedge clk or negedge rst_n) if(!rst_n) cnt <= 4'b0;else cnt <= cnt + 1'b1;生成的RTL视图是这样的:
程序里明明写的是 cnt + 1'b1, 为什么生成的RTL中是加上 4‘h8 ? 展开
always @ (posedge clk or negedge rst_n) if(!rst_n) cnt <= 4'b0;else cnt <= cnt + 1'b1;生成的RTL视图是这样的:
程序里明明写的是 cnt + 1'b1, 为什么生成的RTL中是加上 4‘h8 ? 展开
1个回答
展开全部
因为你的A是四位的,四位数字要加四位数字效率才高。
你要知道quartus是要最后载入到板子上的,你compile以后的东西是以板子用起来最方便为准,你的code只是个依据
你要知道quartus是要最后载入到板子上的,你compile以后的东西是以板子用起来最方便为准,你的code只是个依据
更多追问追答
追问
谢谢你的回答!
是我没表达清楚,我是觉得在RTL视图中应该生成的是 4‘h1, 而不是 4‘h8 。为什么这里是 4‘h8 呢?
追答
module top
(
input clk,
input rst_n,
input [7:0] data,
output [7:0] led
);
reg [7:0] data_reg ;
always @(posedge clk or negedge rst_n) begin
if (!rst_n)
data_reg <= 8'b10101011;
else
data_reg <= data ;
end
assign led = data_reg;
endmodule
威孚半导体技术
2024-08-19 广告
2024-08-19 广告
威孚(苏州)半导体技术有限公司是一家专注生产、研发、销售晶圆传输设备整机模块(EFEM/SORTER)及核心零部件的高科技半导体公司。公司核心团队均拥有多年半导体行业从业经验,其中技术团队成员博士、硕士学历占比80%以上,依托丰富的软件底层...
点击进入详情页
本回答由威孚半导体技术提供
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询