求EDA用VHDL语言的程序设计,急急急!给高分!(要求完成一个具有异步复位和同步使能功能的10进制计数器)

急求EDA用VHDL语言的程序设计,急急急!给高分!不解释!要求在QuartusⅡ软件中采用VHDL语言完成一个具有异步复位和同步使能功能的10进制计数器的设计。要求如下... 急求EDA用VHDL语言的程序设计,急急急!给高分!不解释!
要求在QuartusⅡ软件中采用VHDL语言完成一个具有异步复位和同步使能功能的10进制计数器的设计。要求如下:
1、通过3个按键分别控制时钟信号、使能信号和复位信号;
2、计数器的计数值通过1个数码管实时显示、进位输出通过一个发光二极管的亮来表示;
3、时钟脉冲的控制首先通过单个按键引入,然后通过实验箱上的Clock0引脚引入连续脉冲;
4、对实验结果进行测试时,使用QuartusⅡ软件中的嵌入式逻辑分析仪Signal TapⅡ实时测试,并观察计数器的相关端口信号的变化。
有谁会解答,速度回答,谢谢啦!采纳后再加分!
展开
 我来答
雾中的阳光2010
推荐于2018-02-28 · TA获得超过191个赞
知道小有建树答主
回答量:89
采纳率:100%
帮助的人:105万
展开全部

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity shicount is

 port(clk,reset,enable: in std_logic;

         a,b,c,d,e,f,g: out std_logic;

         tp  :  out std_logic_vector(0 to 3);

         xian: out std_logic_vector(0 to 6);

         count  :out std_logic);

end shicount;

architecture xu of shicount is

     signal temp   :std_logic_vector(0 to 3);

     signal xianshi:std_logic_vector(0 to 6);

begin

process(clk,reset,enable)

begin

   if (reset='1')then temp<="0000";

    elsif (enable='1') then

      if (clk' event and clk='1') 

          then  if (temp<="1000") then temp<=temp+1;

               else temp<="0000";

          end if;

      end if;

   end if;

end process;

 process(temp)

    begin

         case temp is

           when "0000"=> xianshi<="0000001";count<='0'; 

           when "0001"=> xianshi<="0110000";count<='0';

           when "0010"=> xianshi<="1101101";count<='0';

           when "0011"=> xianshi<="1111001";count<='0';

           when "0100"=> xianshi<="0110011";count<='0';

           when "0101"=> xianshi<="1011011";count<='0';

           when "0110"=> xianshi<="0011111";count<='0';

           when "0111"=> xianshi<="1110000";count<='0';

           when "1000"=> xianshi<="1111111";count<='0';

           when "1001"=> xianshi<="1110011";count<='1';

           when others=> xianshi<="0000000";count<='0';

         end case;

    end process;

      a<=xianshi(6);  b<=xianshi(5);  c<=xianshi(4); d<=xianshi(3);  

      e<=xianshi(2);f<=xianshi(1);  g<=xianshi(0);  tp<=temp;

      xian<=xianshi;

 end xu;

推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式