VHDL 数字分频器 学渣学渣一点头绪都没有。 求全部代码或者学习的资料(哪怕链接都行)。如果能在

VHDL数字分频器学渣学渣一点头绪都没有。求全部代码或者学习的资料(哪怕链接都行)。如果能在解释解释题目,那时更好的。谢谢大神。... VHDL 数字分频器 学渣学渣一点头绪都没有。
求全部代码或者学习的资料(哪怕链接都行)。如果能在解释解释题目,那时更好的。谢谢大神。
展开
 我来答
nereus78e904
2015-06-15 · TA获得超过1.5万个赞
知道大有可为答主
回答量:5463
采纳率:90%
帮助的人:1723万
展开全部
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity div is
generic(n:integer :=50);
port (clk:in std_logic;
q:out std_logic);
end div;
architecture behave of div is
signal count :integer range n-1 downto 0:=n-1;
begin
process(clk)
begin
if rising_edge(clk) then
count<=count-1;
if count>=n/2 then
q<='0';
else
q<='1';
end if;
if count<=0 then
count<=n-1;
end if;
end if;
end process;
end behave;
具体分频系数改变类属generic n的值就行了(例子中n:=50)。
绿箭钟情
2015-06-12 · TA获得超过250个赞
知道答主
回答量:265
采纳率:0%
帮助的人:41.9万
展开全部
我学过,采纳我,我把答案发给你
更多追问追答
追问
如果符合我的要求,一定采纳
你也看到没几个人回我
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
高级董事长
2015-06-11 · TA获得超过105个赞
知道答主
回答量:379
采纳率:0%
帮助的人:71.2万
展开全部
我会我会。
追问
然后呢?
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
来自蕲州古城月貌花容的山茶
2015-06-11
知道答主
回答量:24
采纳率:0%
帮助的人:4.3万
展开全部
这个我也不会
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
收起 更多回答(2)
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式