用EDA设计智力抢答器 5

设计要求:(1)设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。(2)电路具有第一抢答信号的鉴别和锁存功能。(3)设置计分电路。(4)设置... 设计要求:(1) 设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2) 电路具有第一抢答信号的鉴别和锁存功能。
(3) 设置计分电路。
(4) 设置犯规电路。
设计参考方案:系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块。其他功能自行增加设计。
展开
czc87161389
2011-01-15 · TA获得超过763个赞
知道答主
回答量:50
采纳率:0%
帮助的人:32.7万
展开全部
抢答器
通信081 李笑笑 082278
一、简要说明
在进行智力竞赛抢答题比赛时,在一定时间内,各参赛者考虑好答案后都想抢先答题。如果没有合适的设备,有时难以分清他们的先后,使主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,我们将它称为智力竞赛抢答器。
二、设计要求
1.最多可容纳15名选手或15个代表队参加比赛,他们的编号分别为1到15,各用一个抢答按钮,其编号与参赛者的号码一一对应。此外,还有一个按钮给主持人用来清零,主持人清零后才可进行下一次抢答。
2.抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。在主持人将抢答器清零后,若有参赛者按抢答按钮,数码管立即显示出最先动作的选手的编号,抢答器对参赛选手动作的先后有很强的分辨能力,即较他们动作的先后只相差几毫秒,抢答器也能分辨出来。数码管不显示后动作选手的编号,只显示先动作选手的编号,并保持到主持人清零为止。
3.在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零状态,直至有人使用抢答按钮为止。抢答时间设为10秒。在10秒后若没有参赛者按抢答按钮,抢答按钮无效。并保持到主持人清零为止。
三、设计提示
1. 输入输出信号
输出显示的位扫描时钟信号可以作为键盘输入的检测扫描信号。10秒定时计数器的时钟信号可以选2Hz的时钟。复位信号用来使10秒定时器和键盘编码器清零。15个按键输入信号应进行编码。A—G数码管段驱动信号。SEG0,SEGl数码管位驱动信号。
2.系统功能
按下异步复位键,10秒定时器和键盘编码器清零。放开异步复位健后,启动定时器,并允许键盘编码器扫描信号输入端,如在10秒内发现有输入信号,将其编码输出,同时使定时器停止计时;否则,停止扫描编码和定时,直到再次按下异步复位健键。把16进制编码转换为十进制码,经译码后显示。
3.设计框图如图:
四、程序代码
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;

entity answer is
port(
KEY_IN: in std_logic_vector(15 downto 1);
CLEAR: in std_logic;
SCANCLK: in std_logic;
CLK1S: in std_logic;
LED_OUT: out std_logic_vector(6 downto 0);
SCAN_OUT: out std_logic;
SOUND_OUT: out std_logic
);
end answer;

architecture rtl of answer is
signal KEY_CODE: integer range 0 to 15;
signal KEY_CODE_REG: integer range 0 to 15;
signal KEY_EN: std_logic;
signal NUM1: integer range 0 to 9;
signal NUM2: integer range 0 to 9;
signal KEY_EN1,KEY_EN2: std_logic;
signal KEY_IN1,KEY_IN2,KEY_INS: std_logic_vector(15 downto 1);
signal HEX: integer range 0 to 9;
signal TIME_CNT: std_logic_vector(3 downto 0);
begin

process(KEY_EN,KEY_IN,SCANCLK,CLEAR)
begin
if CLEAR = '0' then
KEY_CODE_REG<=0;
elsif SCANCLK'event and SCANCLK = '1' then
if KEY_CODE_REG = 0 then
KEY_CODE_REG<=KEY_CODE;
end if;
end if;
end process;

process(SCANCLK,CLEAR,KEY_IN)
begin
if SCANCLK'event and SCANCLK = '1' then
KEY_IN2 <= KEY_IN1;
KEY_IN1 <= KEY_IN;
end if;
end process;

KEY_INS<=not KEY_IN2 or KEY_IN1;

KEY_CODE<=0 when KEY_EN = '0' else
1 when KEY_INS(1)='0' else
2 when KEY_INS(2)='0' else
3 when KEY_INS(3)='0' else
4 when KEY_INS(4)='0' else
5 when KEY_INS(5)='0' else
6 when KEY_INS(6)='0' else
7 when KEY_INS(7)='0' else
8 when KEY_INS(8)='0' else
9 when KEY_INS(9)='0' else
10 when KEY_INS(10)='0' else
11 when KEY_INS(11)='0' else
12 when KEY_INS(12)='0' else
13 when KEY_INS(13)='0' else
14 when KEY_INS(14)='0' else
15 when KEY_INS(15)='0' else
0 ;
process(CLK1S,CLEAR,KEY_EN)
begin
if CLEAR = '0' then
TIME_CNT <= "0000";
elsif CLK1S'event and CLK1S = '1' then
if KEY_EN='1' then
TIME_CNT<=TIME_CNT + 1;
end if;
end if;
end process;

KEY_EN<='1' when KEY_CODE_REG = 0 and TIME_CNT<=9 else '0';

process(CLK1S,CLEAR,KEY_EN)
begin
if CLEAR = '0' then
KEY_EN1 <= '1';
KEY_EN2 <= '1';
elsif CLK1S'event and CLK1S = '1' then
KEY_EN2 <= KEY_EN1;
KEY_EN1 <= KEY_EN;
end if;
end process;

SOUND_OUT<=SCANCLK when KEY_EN1='0' and KEY_EN2='1' else '0';

with HEX select
LED_OUT<="0000110" when 1,
"1011011" when 2,
"1001111" when 3,
"1100110" when 4,
"1101101" when 5,
"1111101" when 6,
"0000111" when 7,
"1111111" when 8,
"1101111" when 9,
"0111111" when OTHERS;

HEX<= NUM1 when SCANCLK='0' else NUM2;

NUM2<=1 when KEY_CODE_REG>9 ELSE 0;
NUM1<=KEY_CODE_REG when KEY_CODE_REG<=9 ELSE KEY_CODE_REG-10;
SCAN_OUT <= SCANCLK;
end rtl;
华芯测试
2024-09-01 广告
深圳市华芯测试科技有限公司是一家专业从事半导体晶圆检测设备的企业,公司集制造、研发、销售和服务于一体,不仅拥有专业的生产设备、精湛的加工工艺及品质检测体系,具有经验丰富的设计与研发团队及完善的售后服务团队,并集成相关测试仪器、仪表,提供半导... 点击进入详情页
本回答由华芯测试提供
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式