VHDL语言编程的错误谁给解决一下啊?谢啦
libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitytvsisport...
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity tvs is port
(a,b,c,d,e:in std_logic_vector;
f:out std_logic_vector);
end tvs;
architecture tvs_arch of tvs is
begin
process(a,b,c,d)
begin
if
(e=1,a+b+c+d=2 or a+b+c+d=3) then f<=1;
end if;
if
(e=1,a+b+c+d=0 or a+b+c+d=4) then f<=0;
end if;
if
(e=0,a+b+c+d=3) then f<=1;
end if;
if
(e=0,a+b+c+d/=3) then f<=0;
end if;
e<=f;
end process;
end tvs_arch;
Error (10514): VHDL aggregate error at tvs.vhd(13): can't determine type of aggregate -- found 0 possible types
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings 展开
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity tvs is port
(a,b,c,d,e:in std_logic_vector;
f:out std_logic_vector);
end tvs;
architecture tvs_arch of tvs is
begin
process(a,b,c,d)
begin
if
(e=1,a+b+c+d=2 or a+b+c+d=3) then f<=1;
end if;
if
(e=1,a+b+c+d=0 or a+b+c+d=4) then f<=0;
end if;
if
(e=0,a+b+c+d=3) then f<=1;
end if;
if
(e=0,a+b+c+d/=3) then f<=0;
end if;
e<=f;
end process;
end tvs_arch;
Error (10514): VHDL aggregate error at tvs.vhd(13): can't determine type of aggregate -- found 0 possible types
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 0 warnings 展开
1个回答
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询