verilog 设计中,顶层模块可以调用子模块内部定义的信号吗?

不是子模块的输出输入端口inputoutput,而是子模块内部定义的wire,reg的信号... 不是子模块的输出输入端口input output ,而是子模块内部定义的wire,reg的信号 展开
 我来答
百度网友0835e59
推荐于2017-12-15 · TA获得超过161个赞
知道答主
回答量:51
采纳率:0%
帮助的人:43.5万
展开全部
如果你是做综合的话,就用input,output引出来;
如果你是做仿真,是可以直接调用,用实例名,一级一级连起来,就像文件夹的路径一样。
如:u_top.u_sub_mod.sub_reg。
但用这种方法要注意multi-dirver。
熊全逢琴
2020-03-01 · TA获得超过3.7万个赞
知道大有可为答主
回答量:1.3万
采纳率:26%
帮助的人:962万
展开全部
在两个不同模块内部的输入输出信号是可以的,只要在顶层的连线名称区分开即可。
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
百度网友ca715b1
2011-06-22 · TA获得超过463个赞
知道小有建树答主
回答量:130
采纳率:0%
帮助的人:169万
展开全部
必须的不可以!
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
xiaoming031204
2011-06-22
知道答主
回答量:16
采纳率:0%
帮助的人:8万
展开全部
不能
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
lunar25071020
2011-06-22 · 超过19用户采纳过TA的回答
知道答主
回答量:74
采纳率:0%
帮助的人:47万
展开全部
没有的话 自己加上 output 不就可以了
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
收起 1条折叠回答
收起 更多回答(3)
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式