quartus ii&vhdl程序运行
libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitybit_rtl_r...
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bit_rtl_reg_clk is
port(pin:bit_vector;
cntl:bit_vector;
pout:out bit_vector;
clk:bit);
end bit_rtl_reg_clk;
architecture func of bit_rtl_reg_clk is
begin
process
begin
wait until clk'EVENT and clk='1';
if (cnt1='1')then pout<=pin;
end if;
end process;
end func;
为什么运行总是提示
Error (10482): VHDL error at func.vhd(15): object "cnt1" is used but not declared
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 3 warnings
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 3 warnings 展开
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bit_rtl_reg_clk is
port(pin:bit_vector;
cntl:bit_vector;
pout:out bit_vector;
clk:bit);
end bit_rtl_reg_clk;
architecture func of bit_rtl_reg_clk is
begin
process
begin
wait until clk'EVENT and clk='1';
if (cnt1='1')then pout<=pin;
end if;
end process;
end func;
为什么运行总是提示
Error (10482): VHDL error at func.vhd(15): object "cnt1" is used but not declared
Error: Quartus II Analysis & Synthesis was unsuccessful. 1 error, 3 warnings
Error: Quartus II Full Compilation was unsuccessful. 3 errors, 3 warnings 展开
1个回答
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询