vhdl程序,流水灯 出现下面错误帮忙看看哪错误了 ,在线等 谢谢

libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_... library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity lsd is
port(clk:in bit;
led:out bit);
end entity lsd;
architecture led of lsd is
signal led_t:bit_vector(7 downto 0);
begin
led_t<="11111110";
process(clk)
begin
if (clk'event and clk='1') then
led_t<=led_t rol( 1);
end if;
led<=led_t;
end process;
end led;
展开
 我来答
yulunna
2011-10-26 · TA获得超过408个赞
知道小有建树答主
回答量:176
采纳率:50%
帮助的人:110万
展开全部
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity lsd is
port(clk:in bit;
led:out bit_vector(7 downto 0));--位矢量和led_t一致
end lsd;
architecture led1 of lsd is
signal led_t:bit_vector(7 downto 0):="11111110";--信号初始化直接在这面
begin
process(clk)
begin
if (clk'event and clk='1') then
led_t<=led_t rol (1) ;

end if;
led<=led_t;
end process;
end led1;
斯里哇啦
2011-10-26 · TA获得超过210个赞
知道小有建树答主
回答量:342
采纳率:100%
帮助的人:302万
展开全部
楼上应该是对的
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式