vhdl程序,流水灯 出现下面错误帮忙看看哪错误了 ,在线等 谢谢
libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_...
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity lsd is
port(clk:in bit;
led:out bit);
end entity lsd;
architecture led of lsd is
signal led_t:bit_vector(7 downto 0);
begin
led_t<="11111110";
process(clk)
begin
if (clk'event and clk='1') then
led_t<=led_t rol( 1);
end if;
led<=led_t;
end process;
end led; 展开
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity lsd is
port(clk:in bit;
led:out bit);
end entity lsd;
architecture led of lsd is
signal led_t:bit_vector(7 downto 0);
begin
led_t<="11111110";
process(clk)
begin
if (clk'event and clk='1') then
led_t<=led_t rol( 1);
end if;
led<=led_t;
end process;
end led; 展开
2个回答
展开全部
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity lsd is
port(clk:in bit;
led:out bit_vector(7 downto 0));--位矢量和led_t一致
end lsd;
architecture led1 of lsd is
signal led_t:bit_vector(7 downto 0):="11111110";--信号初始化直接在这面
begin
process(clk)
begin
if (clk'event and clk='1') then
led_t<=led_t rol (1) ;
end if;
led<=led_t;
end process;
end led1;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity lsd is
port(clk:in bit;
led:out bit_vector(7 downto 0));--位矢量和led_t一致
end lsd;
architecture led1 of lsd is
signal led_t:bit_vector(7 downto 0):="11111110";--信号初始化直接在这面
begin
process(clk)
begin
if (clk'event and clk='1') then
led_t<=led_t rol (1) ;
end if;
led<=led_t;
end process;
end led1;
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询