用maxplus2编译发现一下几个问题,请问可以怎么改?谢了哈

libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycnt10ispo... library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cnt10 is
port(clk_in:in std_logic;k_or,k1,k2:out std_logic);
entity cnt10 is
process(clk,c1)
begin
signal m1,m2:std_logic;
signal c1,c2:std_logic_vector(2 downto 0);
if (c1="001") then m1<=not m1; elsif (c1="011") then m1<=not m1;
if (c1="100") then c1<="000"; else c1<=c1+1; end if;
if rising_enge(clk) then
begin
process(clk,c2)
end process;
end if;
end if;
if (c2="001") then m2<=not m2; elsif (c2="011") then m2<=not m2;
if (c2="100") then c2<="000"; else c2<=c2+1; end if;
if falling_enge(clk) then
begin
k1<=m1; k2<=m2,;k_or<=m1 or m2;
end process;
end if end if;
clk_out<='1';
end bhv;

以上是程序,图片是错误,拜托了
展开
 我来答
grape没加咖啡
2014-08-21 · TA获得超过9271个赞
知道答主
回答量:139
采纳率:0%
帮助的人:81.4万
展开全部
刹那间时光灭绝:灰色的天空
在薰衣草和晚祷歌的气息中,
粗粗的睫毛,难道我曾恍惚中置身
在此地躺卧了数个世纪是可能的。
把我理想的风筝送上蓝天。
睁的眼睛哈哈
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式