求学过VHDL语言的大神帮帮忙,quartus ii软件总提示少了分号,不过我一直找不到
代码如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitykey6...
代码如下:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity key6 is
port(reset:in std_logic;
key11,key12,key13,key14,key15:in std_logic;
out6:out std_logic_vector(0 downto 3));
end;
architecture bhv of key6 is
signal q:std_logic_vector(0 downto 3);
begin
process(key,reset)
begin
if reset='1' then out6 <= "000000";
elsif (key11=='1') and not (q(0) /='1' and q(1) /='1' and q(2) /='1' and q(3) /='1') then q<="0001";
end if;
end process;
end bhv;
bug如下:Error (10500): VHDL syntax error at key6.vhd(16) near text "="; expecting "(", or an identifier, or unary operator 展开
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity key6 is
port(reset:in std_logic;
key11,key12,key13,key14,key15:in std_logic;
out6:out std_logic_vector(0 downto 3));
end;
architecture bhv of key6 is
signal q:std_logic_vector(0 downto 3);
begin
process(key,reset)
begin
if reset='1' then out6 <= "000000";
elsif (key11=='1') and not (q(0) /='1' and q(1) /='1' and q(2) /='1' and q(3) /='1') then q<="0001";
end if;
end process;
end bhv;
bug如下:Error (10500): VHDL syntax error at key6.vhd(16) near text "="; expecting "(", or an identifier, or unary operator 展开
2个回答
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询