用VHDL程序实现将一个四位的十进制数用四个数码管显示出来 5
2个回答
展开全部
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity yanzhen is
port (
a0,a1,a2,a3: out integer range 0 to 15 );
end entity;
architecture one of yanzhen is
begin
a0<= 1234 rem 10;
a1<= (1234/10) rem 10 ;
a2<= (1234/100) rem 10;
a3<= 1234/1000;
end architecture;
是不是要这个啊? 1234,变成1,2,3,4? 唉,,都不知道你到底问什么
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity yanzhen is
port (
a0,a1,a2,a3: out integer range 0 to 15 );
end entity;
architecture one of yanzhen is
begin
a0<= 1234 rem 10;
a1<= (1234/10) rem 10 ;
a2<= (1234/100) rem 10;
a3<= 1234/1000;
end architecture;
是不是要这个啊? 1234,变成1,2,3,4? 唉,,都不知道你到底问什么
追问
是这个意思 但我用的是max+plus2软件,max+plus2限制“*”、“/”号右边操作数必须为2的乘方,而max+plus2不支持rem
追答
干嘛用max+plus啊,不这么干的话,我也没辙了, 呵呵。
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
展开全部
你数码管的驱动方式是?三极管?138?……
更多追问追答
追问
应该是由八个共阴极或共阳极二极管组成的 abcdefgh 例如: 11111100 就显示 1
追答
嗯,你说的是8段LED,我问的是你的COM公共端是和什么连接在一起的?
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询