关于VHDL元件例化语句port map语法报错的问题

libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_log... library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity miaobiao is
port(
stop,start,clk,clk1:in std_logic;
led: out std_logic_vector(6 downto 0);
sel:out std_logic_vector(6 downto 0);
q: out std_logic);
end entity miaobiao;
architecture a of miaobiao is
component count10--十进制计数器
port(clk,clr,start:in std_logic;
cout:out std_logic;
daout:out std_logic_vector(3 downto 0));
end component;
component seltime--数据选择模块
port(
clk,clr: in std_logic;
dain1,dain2,dain3,dain4,dain5,dain6:in std_logic_vector(3 downto 0);
daout: out std_logic_vector(3 downto 0);
sel: out std_logic_vector(2 downto 0)
);
end component;
component deled---译码器模块
port(
num: in std_logic_vector(3 downto 0);
led: out std_logic_vector(6 downto 0)
);
end component;
component alarm--蜂鸣报警模块
port(
clk,i:in std_logic;
q:out std_logic
);

end component;
component count6--六进制计数器
port(
clk,clr,start:in std_logic;
cout:out std_logic;
daout:out std_logic_vector(3 downto 0)
);
end component;
signal s100,s10,s ,ts,m,tm: std_logic_vector(3 downto 0);
signal cout: std_logic_vector(5 downto 0);
signal sdout: std_logic_vector(3 downto 0);
begin
u0: count10 port map(clk=>clk,clr=>stop,start=>start,cout(0),s100);
u1: count10 port map (clk=>cout(0),stop,start,cout(1),s10);
u2: count10 port map (cout(1),stop,start,cout(2),s);
u3: count6 port map (cout(2), stop, start, cout (3),ts);
u4: count10 port map (cout (3), stop, start, cout (4),m);
u5: count6 port map (cout (4), stop, start, cout (5),tm);
u6:seltime port map (clk1,stop,s100,s10,s,ts,m,tm,sdout,sel);
u7:deled port map (sdout,led);
u8:alarm port map (clk, cout (5),q);
end architecture a;
出现错误:Error (10437): VHDL Association List error at miaobiao.vhd(50): positional associations must be listed before named associations
请高手指教
展开
 我来答
KO涯
2012-05-29 · TA获得超过261个赞
知道答主
回答量:80
采纳率:0%
帮助的人:54.2万
展开全部
十进制计数、器蜂鸣报警模块、译码器模块、数据选择模块、六进制计数器。。。。我是想说,这些计数器和模块FPGA元件库中是没有的,这些计数器和模块你必须先设计,即设计文件或实体,再将这些预先设计好的设计实体定义为一个元件,然后才例化
威孚半导体技术
2024-08-19 广告
威孚(苏州)半导体技术有限公司是一家专注生产、研发、销售晶圆传输设备整机模块(EFEM/SORTER)及核心零部件的高科技半导体公司。公司核心团队均拥有多年半导体行业从业经验,其中技术团队成员博士、硕士学历占比80%以上,依托丰富的软件底层... 点击进入详情页
本回答由威孚半导体技术提供
千沛凝0IG59d
2012-10-01 · 超过25用户采纳过TA的回答
知道答主
回答量:90
采纳率:0%
帮助的人:56.5万
展开全部
port map() 中的 你采用了混合关联方式(u0,u1),位置关联方式必须放在名字关联方式的前面
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
弘茵d9
2020-03-18
知道答主
回答量:1
采纳率:0%
帮助的人:626
展开全部
双击错误定位一下错误发生位置。我猜应该是在u0和u1这两行。位置关联和名称关联不要混用。
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
凌谧汪叶
2019-06-25 · TA获得超过3846个赞
知道小有建树答主
回答量:3150
采纳率:28%
帮助的人:229万
展开全部
VHDL里面的循环只能用在process里面吧,因为loop循环是顺序语句,顺序语句是用在进程里面的
你可以在toubi中设一个使能端口,然后在进程里面循环,通过控制使能端口来控制toubi的使用,,,,
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
收起 更多回答(2)
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式