VHDL流水灯设计
三个输入八个输出CLR为清零端时钟信号clk使能信号ena输出Y接八个发光二极管当c l r为底时系统清零此时只有D1亮,ena为高电平时时钟上升...
三个输入 八个输出 CLR为清零端 时钟信号clk 使能信号ena输出Y接八个发光二极管 当c l r为底时系统清零此时只有D1亮,ena为高电平时时钟上升沿到来流水灯开始流动,从D1到D8再回到D1。ena为低电平时,流水灯暂停,保持原有状态。 要有效的vhdl语言设计逻辑输入。
有答案可以追加分 展开
有答案可以追加分 展开
1个回答
展开全部
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity liushui is
port(
clk:IN std_logic;
clr:IN std_logic;
ena:IN std_logic;
y:out std_logic_vector (7 downto 0) );
end;
architecture behave of liushui is
begin
signal y_out:std_logic_vector(7 downto 0); process(clk,clr,ena) if clr = '0' then y_out<= "00000001"; elsif clk'event and clk = '1' then if ena = '1' then y_out <= y_out<<1; end if; end if;end process; y <= y_out; end behave;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity liushui is
port(
clk:IN std_logic;
clr:IN std_logic;
ena:IN std_logic;
y:out std_logic_vector (7 downto 0) );
end;
architecture behave of liushui is
begin
signal y_out:std_logic_vector(7 downto 0); process(clk,clr,ena) if clr = '0' then y_out<= "00000001"; elsif clk'event and clk = '1' then if ena = '1' then y_out <= y_out<<1; end if; end if;end process; y <= y_out; end behave;
本回答被提问者和网友采纳
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
索柏光电
2023-08-25 广告
2023-08-25 广告
作为索柏光电的工作人员,我推荐我们公司的亮化点光源。我们公司专业从事LED亮化产品的研发、生产和销售,拥有一流的技术和设备,产品具有亮度高、寿命长、色彩丰富、节能环保等优点。我们的亮化点光源广泛应用于城市景观、商业街区、酒店会所等领域,为城...
点击进入详情页
本回答由索柏光电提供
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询