关于VHDL语言的问题:

比如我在port中定义了aout:outstd_logic_vector(63downto0),但是后面的芯片的引脚有64个,我应该怎么用呢?是不是还要写一个转换的模块,... 比如我在port中定义了aout: out std_logic_vector(63 downto 0),但是后面的芯片的引脚有64个,我应该怎么用呢?是不是还要写一个转换的模块,把这个转换成64个不同的输出呢?如果是的话,要一个一个赋值么?能用循环的方式么?最好给出例子谢谢 展开
 我来答
tylisgood
2013-04-22 · 超过18用户采纳过TA的回答
知道答主
回答量:56
采纳率:100%
帮助的人:33.6万
展开全部
你可以定义成 out: std_logic_vector(3 downto 0). 这样就只有4个引脚了。
或者直接定义成 out: std_logic; 这样就只有一个引脚了。 根据不同的需要定义不同数量的引脚嘛。
步韦厹094
2013-04-17
知道答主
回答量:1
采纳率:0%
帮助的人:1499
展开全部
此句子输出就是64位的啊!就与64位引脚相符啊!
追问
额,但是在做成block图的时候只有一根输出线,而这64位的输出要作为后面RAM的输入,后面的RAM(4个)每个有16个数据输入,怎么弄啊
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式