求大神解决一下这个VHDL程序错误原因
libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;ENTITYSISport(M...
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY S IS
port ( MRED:in std_logic;
COUNTH:in std_logic_vector(3 downto 0);
COUNTL:in std_logic_vector(3 downto 0);
clk:in std_logic;
MR:out std_logic);
end S;
ARCHITECTURE behave OF S IS
BEGIN
process(MRED,COUNTH,COUNTL,CLK)
begiN
IF (MRED'EVENT AND MRED='1') THEN
IF (COUNTH'EVENT AND COUNTH="0000")THEN
IF COUNTL="00XX" THEN MR<=CLK;
ELSE MR<='1';
END IF;
END IF;
END IF;
END PROCESS;
END BEHAVE; 展开
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY S IS
port ( MRED:in std_logic;
COUNTH:in std_logic_vector(3 downto 0);
COUNTL:in std_logic_vector(3 downto 0);
clk:in std_logic;
MR:out std_logic);
end S;
ARCHITECTURE behave OF S IS
BEGIN
process(MRED,COUNTH,COUNTL,CLK)
begiN
IF (MRED'EVENT AND MRED='1') THEN
IF (COUNTH'EVENT AND COUNTH="0000")THEN
IF COUNTL="00XX" THEN MR<=CLK;
ELSE MR<='1';
END IF;
END IF;
END IF;
END PROCESS;
END BEHAVE; 展开
2个回答
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询