急求四人抢答器vhdl语言编的 !!高手速来帮忙!!

急求啊请大家帮帮忙!!... 急求啊 请大家帮帮忙!! 展开
 我来答
匿名用户
2014-01-02
展开全部
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -------------------------------------------------------------------- entity exp5 is port( S1,S2,S3,S4 : in std_logic; --输入:表示4个人 S5 : in std_logic; --主持人按键 D1,D2,D3,D4 : out std_logic --抢答结果显示 ); end exp5; -------------------------------------------------------------------- architecture behave of exp5 is signal Enable_Flag : std_logic; signal S : std_logic_vector(3 downto 0); begin process(S1,S2,S3,S4,S5) --允许抢答控制 begin S<=S1&S2&S3&S4; if(S5='0') then Enable_Flag<='1'; elsif(S/="1111") then Enable_Flag<='0'; end if; end process; process(S1,S2,S3,S4,S5) --抢答结果显示 begin if(S5='0') then D1<='0'; D2<='0'; D3<='0'; D4<='0'; elsif(Enable_Flag='1') then if(S1='0') then D1<='1'; elsif(S2='0') then D2<='1'; elsif(S3='0') then D3<='1'; elsif(S4='0') then D4<='1'; end if; end if; end process; end behave;
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式