求 alu(32bit)有r-format 同zf,cf,of 功能的vhdl code 20
该功能将选定的2位的操作码之后,见下表。操作码函数00A+B01A-B10A和B11A或B此外,算术逻辑单元应具有以下旗帜显示地位的处理器。国旗所称(即设置为1)零旗(Z...
该功能将选定的2位的操作码之后,见下表。
操作码函数
00 A+B
01 A-B
10 A和B
11 A或B
此外,算术逻辑单元应具有以下旗帜显示地位的处理器。
国旗所称(即设置为1 )
零旗(ZF) 32位的结果都是0 。
卡里检举闪存卡( CF )增添结果“ 32位或减法导致借位。
溢出旗( OvF ) 增加或减去签署号码造成溢出。
以下是骨干
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity ALU32 is
port ( Opcode : in std_logic_vector(1 downto 0);
SrcA : in std_logic_vector(31 downto 0);
SrcB : in std_logic_vector(31 downto 0);
ZF : out std_logic;
CF : out std_logic;
OvF : out std_logic;
result : out std_logic_vector(31 downto 0));
end ALU32;
architecture ALU32_BEHAVIOUR of ALU32 is
begin
-- add your VHDL code below. Whole code should less than 100 lines
end ALU32_BEHAVIOUR; 展开
操作码函数
00 A+B
01 A-B
10 A和B
11 A或B
此外,算术逻辑单元应具有以下旗帜显示地位的处理器。
国旗所称(即设置为1 )
零旗(ZF) 32位的结果都是0 。
卡里检举闪存卡( CF )增添结果“ 32位或减法导致借位。
溢出旗( OvF ) 增加或减去签署号码造成溢出。
以下是骨干
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity ALU32 is
port ( Opcode : in std_logic_vector(1 downto 0);
SrcA : in std_logic_vector(31 downto 0);
SrcB : in std_logic_vector(31 downto 0);
ZF : out std_logic;
CF : out std_logic;
OvF : out std_logic;
result : out std_logic_vector(31 downto 0));
end ALU32;
architecture ALU32_BEHAVIOUR of ALU32 is
begin
-- add your VHDL code below. Whole code should less than 100 lines
end ALU32_BEHAVIOUR; 展开
4个回答
展开全部
这问题 太深高了 想用点积分就解决 是不可能的 你去威客网试试吧 不过要用钱的 !!!
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
展开全部
看不太懂
本回答被网友采纳
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询