求设计一个五人表决器(不要源代码,要具体方法以及试验中用到的元器件和连接方式)
数电试验的设计作业,明天就要交了,望高手指点,先谢过哈,好的再加100分,不过要详细一点,源代码不要...
数电试验的设计作业,明天就要交了,望高手指点,先谢过哈,好的再加100分,不过要详细一点,源代码不要
展开
1个回答
展开全部
4人表决器表决器好设计,用5个三输入的与非门就行了,五人表决器就要麻烦了,真值表好列,用逻辑代数或卡诺图求出简化的逻辑表达式并演化成与非的形式就不好化了,这个问题你还是想老师寻求一点帮助吧
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bj is
port(a:in std_logic_vector(4 downto 0);
y:out std_logic);
end bj;
architecture aa of bj is
signal x:std_logic; begin
x<=a(0)+a(1)+a(2)+a(3)+a(4);
process(a) begin
if x>2 then y<=’1’;
else y<=’0’;
end if;
end process;
end aa;
#include<reg51.h>
sbit P10=P1^0;//表决人1
sbit P11=P1^1;//表决人2
sbit P12=P1^2;//表决人3
sbit P13=P1^3;//表决人4
sbit P14=P1^4;//表决人5
sbit P15=P1^5;//结果显示
int a=0;
int b=0;
int i=0;
void main()
{
while(1)
{
if(P10==0)
a++;
else
b++;
if(P11==0)
a++;
else
b++;
if(P12==0)
a++;
else
b++;
if(P13==0)
a++;
else
b++;
if(P14==0)
a++;
else
b++;
if(a>b)
P15=0;
else
P15=1;
a=b=0;
for(i=0;i<255;i++)
{}
}
}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity bj is
port(a:in std_logic_vector(4 downto 0);
y:out std_logic);
end bj;
architecture aa of bj is
signal x:std_logic; begin
x<=a(0)+a(1)+a(2)+a(3)+a(4);
process(a) begin
if x>2 then y<=’1’;
else y<=’0’;
end if;
end process;
end aa;
#include<reg51.h>
sbit P10=P1^0;//表决人1
sbit P11=P1^1;//表决人2
sbit P12=P1^2;//表决人3
sbit P13=P1^3;//表决人4
sbit P14=P1^4;//表决人5
sbit P15=P1^5;//结果显示
int a=0;
int b=0;
int i=0;
void main()
{
while(1)
{
if(P10==0)
a++;
else
b++;
if(P11==0)
a++;
else
b++;
if(P12==0)
a++;
else
b++;
if(P13==0)
a++;
else
b++;
if(P14==0)
a++;
else
b++;
if(a>b)
P15=0;
else
P15=1;
a=b=0;
for(i=0;i<255;i++)
{}
}
}
云创
2023-07-25 广告
2023-07-25 广告
代码静态分析服务的费用因服务提供商、服务类型、服务范围、服务时长等因素而异,因此没有一个固定的费用标准。以下是一些可能影响费用的因素:1. 服务提供商:不同的服务提供商可能会有不同的收费标准和服务质量。2. 服务类型:不同的代码静态分析服务...
点击进入详情页
本回答由云创提供
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询