xilinx ISE 13.3test bench仿真输出没有波形

testbench测试源码如下ENTITYtestISENDtest;ARCHITECTUREbehaviorOFtestIS--ComponentDeclaration... test bench测试源码如下
ENTITY test IS
END test;

ARCHITECTURE behavior OF test IS

-- Component Declaration for the Unit Under Test (UUT)

COMPONENT main
PORT(
clk : IN std_logic;
key_in : IN std_logic_vector(5 downto 0);
key_out : OUT std_logic_vector(3 downto 0);
light : OUT std_logic_vector(7 downto 0);
cpld_en : OUT std_logic_vector(1 downto 0);
test_out : OUT std_logic_vector(1 downto 0);
led_en : OUT std_logic_vector(1 downto 0)
);
END COMPONENT;

--Inputs
signal clk : std_logic := '0';
signal key_in : std_logic_vector(5 downto 0) := (others => '0');

--Outputs
signal key_out : std_logic_vector(3 downto 0);
signal light : std_logic_vector(7 downto 0);
signal cpld_en : std_logic_vector(1 downto 0);
signal test_out : std_logic_vector(1 downto 0);
signal led_en : std_logic_vector(1 downto 0);

-- Clock period definitions
constant clk_period : time := 10 ns;

BEGIN

-- Instantiate the Unit Under Test (UUT)
uut: main PORT MAP (
clk => clk,
key_in => key_in,
key_out => key_out,
light => light,
cpld_en => cpld_en,
test_out => test_out,
led_en => led_en
);

-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;

-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
key_in <= "111110";
wait for 100 ns;
key_in <= "011111";
wait for 100 ns;
key_in <= "101111";
wait for 100 ns;
key_in <= "110111";
wait for 100 ns;

-- insert stimulus here

wait;
end process;

END;
展开
 我来答
tiboy1986
2014-01-02 · 超过35用户采纳过TA的回答
知道答主
回答量:109
采纳率:0%
帮助的人:87.5万
展开全部
你把波形窗口缩的紧一些!
追问
波形窗口缩小可以看到输入的波形这我知道,但是输出波形的颜色都不对,再怎么缩小也不会出来波形啊
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
储承承
2017-05-04
知道答主
回答量:1
采纳率:0%
帮助的人:987
展开全部
变量没有赋初值
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
收起 1条折叠回答
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式