你好!verilog语言在quartus中编译如果有以下错误该怎么办???求解~~~必须用verilog编写

Error:Projectrequires1402logiccells,buttheselecteddevicecancontainonly576logiccells... Error: Project requires 1402 logic cells, but the selected device can contain only 576 logic cells 展开
 我来答
walter_bell
2011-11-25 · TA获得超过2031个赞
知道小有建树答主
回答量:1451
采纳率:0%
帮助的人:1061万
展开全部
这是因为你所编写的模块需要太多的逻辑单元,已经超过了芯片所能容纳的量。不知道你为什么会有这么大的电路。
可能是你过多的使用了除法或者求余等,这是很耗门的,建议你改用更优化的算法。
比如,模块复用,或者利用其他运算来求除法的结果,而不是在语言里直接写除法或求余等。
capark
2011-11-28
知道答主
回答量:21
采纳率:0%
帮助的人:16万
展开全部
哇。。。 你的容量超了你所选的芯片容量的一倍多啊。。 快两倍了啊。。。
首先你确定你的芯片用的正不正确。。。 如果正确就要改你的程序。。。 看看能不能简化。。
但是如果芯片可以改。。。 那还是用一个容量大一点的芯片被。。
希望能帮到你诶。。 呵呵
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
likefying
2011-11-24 · 超过14用户采纳过TA的回答
知道答主
回答量:42
采纳率:0%
帮助的人:33.1万
展开全部
。。。换芯片……
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
收起 更多回答(1)
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式