vhdl语言 (DIR, GBAR : in std_logic) 这条语句中DIR和GBAR是什么意思。?
展开全部
这条语句只是定义了DIR 和 GBAR 这两个输入端口,DIR 和 GBAR 只是个端口的代号。
比如下面这个程序:
74245双向三态总线的写法之一如下:
library IEEE;
use IEEE.Std_logic_1164.all;
entity HCT245 is
port(A, B : inout std_logic_vector(7 downto 0);
DIR, GBAR : in std_logic);
end HCT245;
architecture VER1 of HCT245 is
begin
A <= B when (GBAR = '0') and (DIR = '0') else (others => 'Z');
B <= A when (GBAR = '0') and (DIR = '1') else (others => 'Z');
end VER1;
比如下面这个程序:
74245双向三态总线的写法之一如下:
library IEEE;
use IEEE.Std_logic_1164.all;
entity HCT245 is
port(A, B : inout std_logic_vector(7 downto 0);
DIR, GBAR : in std_logic);
end HCT245;
architecture VER1 of HCT245 is
begin
A <= B when (GBAR = '0') and (DIR = '0') else (others => 'Z');
B <= A when (GBAR = '0') and (DIR = '1') else (others => 'Z');
end VER1;
追问
你好!我是个新手,刚刚接触VHDL,我想知道DIR和GBAR端口是什么?是专门用于什么方面的?和I/O端口有什么区别?
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询