嵌入式 ModelSim Verilog 基础程序 调试出错 帮我改改 20
设计模块modulea(A,B,C,Y);inputA,B,C;outputY;wireB1,Y0,Y1;notn1(B1,B);anda1(Y0,A,B1);anda2...
设计模块
module a(A, B, C, Y);
input A, B, C;
output Y;
wire B1, Y0, Y1;
not n1(B1, B);
and a1(Y0, A, B1);
and a2(Y1, C, B);
or n2(Y, Y0, Y1);
endmodule
激励模块
module tb_a;
reg A, B, C;
wire Y;
a a1(A, B, C, Y);
initial
begin
$monitor($time,“A=%b,B=%b,C=%b,Y=%b”,A,B,C,Y);
A=0; B=0; C=0;
#10 A=0; B=0; C=1;
#10 A=0; B=1; C=0;
#10 A=0; B=1; C=1;
#10 A=1; B=0; C=0;
#10 A=1; B=0; C=1;
#10 A=1; B=1; C=0;
#10 A=1; B=1; C=1;
#10 $finish;
end
endmodule
是激励模块出错,不知道怎么改 展开
module a(A, B, C, Y);
input A, B, C;
output Y;
wire B1, Y0, Y1;
not n1(B1, B);
and a1(Y0, A, B1);
and a2(Y1, C, B);
or n2(Y, Y0, Y1);
endmodule
激励模块
module tb_a;
reg A, B, C;
wire Y;
a a1(A, B, C, Y);
initial
begin
$monitor($time,“A=%b,B=%b,C=%b,Y=%b”,A,B,C,Y);
A=0; B=0; C=0;
#10 A=0; B=0; C=1;
#10 A=0; B=1; C=0;
#10 A=0; B=1; C=1;
#10 A=1; B=0; C=0;
#10 A=1; B=0; C=1;
#10 A=1; B=1; C=0;
#10 A=1; B=1; C=1;
#10 $finish;
end
endmodule
是激励模块出错,不知道怎么改 展开
展开全部
testbench中实例化的模块(比如你程序中的a a1(A,B,C,D))所有的输入输出都应该定义为wire型,不能是reg型。
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
展开全部
好像还有定义一个wire类型的A,B,C.不能光是reg
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
展开全部
没有实例化UDT
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询