紧急求救!!VHDL语言中电子钟设计里clk0<=clk and (not mincor);的作用是什么?
电子钟显示有毫秒,秒,分,时,年月日分计数器:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsig...
电子钟显示有毫秒,秒,分,时,年月日分计数器:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity mincounter isport(clk:in std_logic; mincor:in std_logic; qh,ql:buffer std_logic_vector(3 downto 0); cpout:out std_logic ); end mincounter; architecture behave of mincounter is signal clk0:std_logic; begin clk0<=clk and (not mincor); cpout<='0' when (qh="0101" and ql="1001") else '1'; process(clk0) begin if (clk0'event and clk0='1') then if ql="1001" then ql<="0000"; if qh="0101" then qh<="0000"; else qh<=qh+1; end if; else ql<=ql+1; end if; end if; end process;end behave;
展开
2个回答
展开全部
clk0<=clk and (not mincor);
clk0是一个门控时钟,在输入信号mincor=‘0’的时间段内,clk0信号是与clk同频率同相位的时钟振荡信号,而在输入信号mincor=‘1’的时间段内,clk0=‘0’,无时钟信号产生。
clk0是一个门控时钟,在输入信号mincor=‘0’的时间段内,clk0信号是与clk同频率同相位的时钟振荡信号,而在输入信号mincor=‘1’的时间段内,clk0=‘0’,无时钟信号产生。
本回答被提问者采纳
已赞过
已踩过<
评论
收起
你对这个回答的评价是?
推荐律师服务:
若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询