急急急!!!用vhdl语言写一个计数器程序 下面的错误不知道哪里错了求指教 5

libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitytimerispo... library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity timer is
port( data_in:in std_logic_vector(7 downto 0);
rest,clock,start: in std_logic;
ring:out std_logic
) ;
end timer;
architecture behav of timer is
begin
process(reset,clock)
variable counter:std_logic_vector(7 downto 0); --变量的声明
variable state:integer range 0 to 3; --变量的声明
begin
if reset='0'then
ring <='0';
state:=0;
elsif clock'event and clock='1'then
case state is
when 0 =>
ring <= '0';
counter:="00000000";
if start='1' then
state:=1;
else
state:=0;
end if;
when 1 =>
counter<= data_in;
if start= '1' then
state:=1;
else
state:=0;
when 2 =>
start<= '0';
if counter=1 then
counter :="00001000";
else
counter=counter-1;
end if;
when 3 =>
ring <= '0';
if counter/=1 then
counter=counter-1;
else state:=0;
end if;
end case;
end process;
end behav;
Error (10500): VHDL syntax error at timer.vhd(35) near text "when"; expecting "end", or "(", or an identifier ("when" is a reserved keyword), or a sequential statement
Error (10500): VHDL syntax error at timer.vhd(40) near text "="; expecting "(", or "'", or "."
Error (10500): VHDL syntax error at timer.vhd(42) near text "when"; expecting "end", or "(", or an identifier ("when" is a reserved keyword), or a sequential statement
Error (10500): VHDL syntax error at timer.vhd(45) near text "="; expecting "(", or "'", or "."
Error (10500): VHDL syntax error at timer.vhd(48) near text "case"; expecting "if"
展开
 我来答
nereus78e904
2016-05-14 · TA获得超过1.5万个赞
知道大有可为答主
回答量:5463
采纳率:90%
帮助的人:1960万
展开全部
when 1子句中的if语句最后缺少end if;
已赞过 已踩过<
你对这个回答的评价是?
评论 收起
广州奥泰斯工业自动化控制设备有限公司_
2023-03-29 广告
日本OPTEX FA光电传感器产品已在中国大陆销售了近30年,产品的高性价比已被广大客户所认可。为更好的服务广大客户,日本株式会社(OPTEX FA)于2013年成立广州奥泰斯工业自动化控制设备有限公司,作为OPTEX FA中国区总部。日本... 点击进入详情页
本回答由广州奥泰斯工业自动化控制设备有限公司_提供
推荐律师服务: 若未解决您的问题,请您详细描述您的问题,通过百度律临进行免费专业咨询

为你推荐:

下载百度知道APP,抢鲜体验
使用百度知道APP,立即抢鲜体验。你的手机镜头里或许有别人想知道的答案。
扫描二维码下载
×

类别

我们会通过消息、邮箱等方式尽快将举报结果通知您。

说明

0/200

提交
取消

辅 助

模 式